Section by Andrei Frumusanu

The New Zen 3 Core: High-Level

As we dive into the Zen3 microarchitecture, AMD made a note of their journey of the last couple of years, a success-story that’s been started off in 2017 with the revolutionary Zen architecture that helped bring AMD back to the competitive landscape after several sombre years of ailing products.

The original Zen architecture brought a massive 52% IPC uplift thanks to a new clean-sheet microarchitecture which brought at lot of new features to the table for AMD, introducing features such as a µOP cache and SMT for the first time into the company’s designs, as well as introducing the notion of CPU core-complexes with large (8MB at the time) L3 caches. Features on a 14nm FinFET process node, it was the culmination and the start-off point of a new roadmap of microarchitectures which leads into today’s Zen3 design.

Following a minor refresh in the form of Zen+, last year’s 2019 Zen2 microarchitecture was deployed into the Ryzen 3000 products, which furthered AMD’s success in the competitive landscape. Zen2 was what AMD calls a derivative of the original Zen designs, however it contained historically more changes than what you’d expect from such a design, bringing more IPC increases than what you’d typically see. AMD saw Zen2 as a follow-up to what they had learned with the original Zen microarchitecture, fixing and rolling out design goal changes that they had initially intended for the first design, but weren’t able to deploy in time for the planned product launch window. AMD also stated that it enabled an opportunity to bring some of the future Zen3 specific changes were moved forward into the Zen2 design.

This was also the point at which AMD moved to the new chiplet design, leveraging the transition to TSMC’s new 7nm process node to increase the transistor budget for things like doubling the L3 cache size, increasing clock speeds, and vastly reducing the power consumption of the product to enable aggressive ramp in total core counts both in the consumer space (16-core Ryzen 9 3950X), as well as in the enterprise space (64-core EPYC2 Rome).

Tying a cutting-edge high-performance 7nm core-complex-die (CCD) with a lower cost 12/14nm I/O die (IOD) in such a heterogenous package allowed AMD to maximise the advantages and minimise the disadvantages of both respective technologies – all whilst AMD’s main competitor, Intel, was, and still is, struggling to bring out 10nm products to the market. It was a technological gamble that AMD many times has said was made years in advance, and has since paid off plenty.

Zen 3 At A Glance

This brings us to today’s Zen3 microarchitecture and the new Ryzen 5000 series. As noted earlier, Mark Papermaster had mentioned that if you were to actually look at the new design from a 100,000-foot level, you’d notice that it does look extremely similar to previous generation Zen microarchitectures. In truth, while Zen3 does share similarities to its predecessors, AMD’s architects started off with a clean-sheet design, or as they call it – “a ground-up redesign”. This is actually quite a large claim as this is a quite enormous endeavour to venture in for any company. Arm’s Cortex-A76 is the most recent other industry design that is said to have been designed from scratch, leveraging years of learning of the different design teams and solving inherent issues that require more invasive and large changes to the design.

Because the new Zen3 core still exhibits quite a few defining characteristics of the previous generation designs, I think that AMD’s take on a “complete redesign” is more akin to a deconstruction and reconstruction of the core’s building blocks, much like you’d dismantle a LEGO set and rebuild it anew. In this case, Zen3 seems to be a set-piece both with new building blocks, but also leveraging set pieces and RTL that they’ve used before in Zen2.

Whatever the interpretation of a “clean-sheet” or “complete redesign” might be, the important take is that Zen3 is a major overhaul in terms of its complete microarchitecture, with AMD paying attention to every piece of the puzzle and trying to bring balance to the whole resulting end-design, which comes in contrast to a more traditional “derivative design” which might only touch and see changes in a couple of the microarchitecture’s building blocks.

AMD’s main design goals for Zen3 hovered around three main points:

- Delivering another significant generational single-threaded performance increase. AMD did not want to be relegated to top performance only in scenarios where workloads would be spread across all the cores. The company wanted to catch up and be an undisputed leader in this area to be able to claim an uncontested position in the market.

- Latency improvements, both in terms of memory latency, achieved through a reduction in effective memory latency through more cache-hits thanks to the doubled 32MB L3 that an individual core can take advantage of, as well as core-to-core latency which again thanks to the consolidated single L3 cache on the die is able to reduce long travel times across the dies.

- Continuing a power efficiency leadership: Although the new Zen3 cores still use the same base N7 process node from TSMC (although with incremental design improvements), AMD had a constraint of not increasing power consumption for the platform. This means that any new performance increases would have to come through simultaneous power efficiency improvements of the microarchitecture.

The culmination of all the design changes AMD has made with the Zen3 micro-architecture results in what the company claims as a 19% average performance uplift over a variety of workloads. We’ll be breaking down this number further into the review, but internal figures show we are matching the 19% average uplift across all SPEC workloads, with a median figure of 21%. That is indeed a tremendous achievement, considering the fact that the new Ryzen 5000 chips clock slightly higher than their predecessors, further amplifying the total performance increase of the new design.

AMD Zen 3 Ryzen Deep Dive Review Zen 3: Front-End Updates & Execution Unit Redesigns
Comments Locked

339 Comments

View All Comments

  • Spunjji - Sunday, November 8, 2020 - link

    True, that combo will rock
  • Spunjji - Sunday, November 8, 2020 - link

    Why is 100 watts extra power to get that performance suddenly NBD?
  • CrystalCowboy - Thursday, November 5, 2020 - link

    If they would die-shrink that I/O chiplet, they might have room for a third CCD...
  • adt6247 - Thursday, November 5, 2020 - link

    Then most motherboards would likely have a hard time with power delivery for 8 additional cores.

    Also, a the IO die only supports 2 CCDs -- doesn't have the lanes for more. Hence the Threadripper parts with 4 CCDs being NUMA devices -- two CCDs with direct access to RAM, and two without.
  • lmcd - Thursday, November 5, 2020 - link

    Also package size constraints have about just as much to do with pin count. Necessary pin count for supporting 2 more CCDs' worth of bandwidth and power (regardless of board's ability to supply it) pushes AMD entirely out of the ITX motherboard market (barring absolutely insane designs that cost $300-400 for minimum features beyond turning on). And any situation using more than 16 cores needs more bandwidth, so the pin count increase -> no ITX is really a no-go.
  • AntonErtl - Thursday, November 5, 2020 - link

    The IO die supports 4 CCXs on the 3900X and 3950X. It seems that a CCD does not get a wider port than a CCX (the ports for Zen3 do not seem particularly wide at 16B/cycle in write width), so it may be possible to connect 4 CCDs to the IO die. Whether that will physically fit, or will be too RAM-bandwidth limited is then the question. But given that Intel cannot even match the 16 cores, there is little competetive pressure to put more cores in AM4.
  • schujj07 - Friday, November 6, 2020 - link

    Any single socket Zen 2 device is seen as a single NUMA node. When you talk about 1st & 2nd Gen Threadripper, yes they were seen as 2 or 4 NUMA nodes depending on the number of cores due to how the architecture was made.
  • nandnandnand - Thursday, November 5, 2020 - link

    Zen 4 core chiplets will be shrunk to 5nm, on a new AM5 socket that could have larger dimensions. And maybe the I/O chiplet will shrink to 7nm at the same time.

    I expect 3x 8-core chiplets or 2x 12-core chiplets. A graphics chiplet for all desktop models is possible. If so, maybe 12-core chiplets are the way to go.
  • smilingcrow - Thursday, November 5, 2020 - link

    With only dual channel RAM there will be an issue with performance scaling beyond a certain number of cores.
    It seems as if the sixteen core part already scales poorly with some workloads, so adding fifty percent more is not great.
    Leave that for TR.
  • phoenix_rizzen - Thursday, November 5, 2020 - link

    Yeah, it seems like 1 memory channel for every 8 cores is the sweet spot. At least with DDR4 memory controllers.

Log in

Don't have an account? Sign up now